Корисник:Lazargeratovic/превод2
VHDL-AMS
[уреди]VHDL-AMS је изведеница језика за опис хардвера VHDL (IEEE 1076-2002). Додаје проширења за аналогне и мешовите сигнале (AMS) како би се дефинисало понашање аналогних и мешовитих сигналних система (IEEE 1076.1-2017).
Стандард VHDL-AMS је развијен с циљем да омогући дизајнерима аналогних и мешовитих сигналних система и интегрисаних кола да креирају и користе модуле који обухватају описе понашања на високом нивоу, као и структурне описе система и компоненти.[1]
VHDL-AMS је индустријски стандард за моделирање мешовитих сигналних кола. Омогућава моделирање у континуалном времену и догађајима, због чега је погодан за аналогна, дигитална и мешовита аналогно/дигитална кола. Посебно је погодан за проверу веома сложених аналогних, мешовитих сигналних и радио-фреквенцијских интегрисаних кола.
Објашњење кода
[уреди]У VHDL-AMS-у, дизајн се састоји најмање од ентитета који описује интерфејс и архитектуре која садржи стварну имплементацију. Поред тога, већина дизајна увози модуле из библиотека. Неки дизајни такође садрже више архитектура и конфигурација.
Пример једноставне идеалне диоде у VHDL-AMS-у могао би изгледати овако:
library IEEE; use IEEE.math_real.all; use IEEE.electrical_systems.all; -- this is the entity entity DIODE is generic (iss : current := 1.0e-14); port (terminal anode, cathode : electrical); end entity DIODE; architecture IDEAL of DIODE is quantity v across i through anode to cathode; constant vt : voltage := 0.0258; begin i == iss * (exp(v/vt) - 1.0); end architecture IDEAL;
VHDL-AMS симулатори
[уреди]- ANSYS Simplorer – Мултидоменски симулациони алат за пројектовање електронских и електромеханичких система.
- Cadence Virtuoso AMS Designer – Алат за пројектовање аналогно-дигиталних система.
- Dolphin Integration SMASH – Инструмент за симулацију мешовитих сигналних система.
- Mentor Graphics Questa ADMS – Алат за симулацију и верификацију у домену аналогно-дискретних сигнала.
- Mentor Graphics SystemVision – Мултифизички симулациони алат.
- Synopsys SaberRD – Напредна платформа за пројектовање и симулацију електричних система.
Референце
[уреди]- ↑ Christen E., Bakalar K. „VHDL-AMS — језик за опис хардвера за аналогне и мешовите сигнале“, Кола и системи II: Аналогна и дигитална обрада сигнала, у издању IEEE Transactions on [види такође Кола и системи II: Експресне белешке, у издању IEEE Transactions on], тон 46, број 10, октобар 1999, стр. 1263–1272.
Такође погледајте
[уреди]- Verilog-AMS је аналогна и мешовита сигнална изведеница језика за опис хардвера Verilog.
- VHDL
- Аутоматизација дизајна електронике (Electronic Design Automation, EDA)
- Врло-велика интеграција (Very-Large-Scale Integration, VLSI) односи се на процес интеграције великих количина транзистора у један чип.
- Modelica, језик за моделирање физичких система.