Korisnik:Lazargeratovic/prevod2
VHDL-AMS
[uredi]VHDL-AMS je izvedenica jezika za opis hardvera VHDL (IEEE 1076-2002). Dodaje proširenja za analogne i mešovite signale (AMS) kako bi se definisalo ponašanje analognih i mešovitih signalnih sistema (IEEE 1076.1-2017).
Standard VHDL-AMS je razvijen s ciljem da omogući dizajnerima analognih i mešovitih signalnih sistema i integrisanih kola da kreiraju i koriste module koji obuhvataju opise ponašanja na visokom nivou, kao i strukturne opise sistema i komponenti.[1]
VHDL-AMS je industrijski standard za modeliranje mešovitih signalnih kola. Omogućava modeliranje u kontinualnom vremenu i događajima, zbog čega je pogodan za analogna, digitalna i mešovita analogno/digitalna kola. Posebno je pogodan za proveru veoma složenih analognih, mešovitih signalnih i radio-frekvencijskih integrisanih kola.
Objašnjenje koda
[uredi]U VHDL-AMS-u, dizajn se sastoji najmanje od entiteta koji opisuje interfejs i arhitekture koja sadrži stvarnu implementaciju. Pored toga, većina dizajna uvozi module iz biblioteka. Neki dizajni takođe sadrže više arhitektura i konfiguracija.
Primer jednostavne idealne diode u VHDL-AMS-u mogao bi izgledati ovako:
library IEEE; use IEEE.math_real.all; use IEEE.electrical_systems.all; -- this is the entity entity DIODE is generic (iss : current := 1.0e-14); port (terminal anode, cathode : electrical); end entity DIODE; architecture IDEAL of DIODE is quantity v across i through anode to cathode; constant vt : voltage := 0.0258; begin i == iss * (exp(v/vt) - 1.0); end architecture IDEAL;
VHDL-AMS simulatori
[uredi]- ANSYS Simplorer – Multidomenski simulacioni alat za projektovanje elektronskih i elektromehaničkih sistema.
- Cadence Virtuoso AMS Designer – Alat za projektovanje analogno-digitalnih sistema.
- Dolphin Integration SMASH – Instrument za simulaciju mešovitih signalnih sistema.
- Mentor Graphics Questa ADMS – Alat za simulaciju i verifikaciju u domenu analogno-diskretnih signala.
- Mentor Graphics SystemVision – Multifizički simulacioni alat.
- Synopsys SaberRD – Napredna platforma za projektovanje i simulaciju električnih sistema.
Reference
[uredi]- ↑ Christen E., Bakalar K. „VHDL-AMS — jezik za opis hardvera za analogne i mešovite signale“, Kola i sistemi II: Analogna i digitalna obrada signala, u izdanju IEEE Transactions on [vidi takođe Kola i sistemi II: Ekspresne beleške, u izdanju IEEE Transactions on], ton 46, broj 10, oktobar 1999, str. 1263–1272.
Takođe pogledajte
[uredi]- Verilog-AMS je analogna i mešovita signalna izvedenica jezika za opis hardvera Verilog.
- VHDL
- Automatizacija dizajna elektronike (Electronic Design Automation, EDA)
- Vrlo-velika integracija (Very-Large-Scale Integration, VLSI) odnosi se na proces integracije velikih količina tranzistora u jedan čip.
- Modelica, jezik za modeliranje fizičkih sistema.